Calculated based on number of publications stored in Pure and citations from Scopus
20052024

Research activity per year

Filter
Conference contribution

Search results

  • 2024

    InGRASS: Incremental Graph Spectral Sparsification via Low-Resistance-Diameter Decomposition

    Aghdaei, A. & Feng, Z., 7 Nov 2024, Proceedings of the 61st ACM/IEEE Design Automation Conference, DAC 2024. 276. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    Open Access
  • SGM-PINN: Sampling Graphical Models for Faster Training of Physics-Informed Neural Networks

    Anticev, J., Aghdaei, A., Cheng, W. & Feng, Z., 7 Nov 2024, Proceedings of the 61st ACM/IEEE Design Automation Conference, DAC 2024. 277. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    Open Access
  • 2022

    HyperEF: Spectral hypergraph coarsening by effective-resistance clustering

    Aghdaei, A. & Feng, Z., 30 Oct 2022, Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2022. 14. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • Scalable graph topology learning via spectral densification

    Wang, Y., Zhao, Z. & Feng, Z., 11 Feb 2022, WSDM 2022 - Proceedings of the 15th ACM International Conference on Web Search and Data Mining. p. 1099-1108 10 p. (WSDM 2022 - Proceedings of the 15th ACM International Conference on Web Search and Data Mining).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    11 Scopus citations
  • 2021

    HyperSF: Spectral Hypergraph Coarsening via Flow-based Local Clustering

    Aghdaei, A., Zhao, Z. & Feng, Z., 2021, 2021 40th IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2021 - Proceedings. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2021-November).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    Open Access
    3 Scopus citations
  • SGL: Spectral Graph Learning from Measurements

    Feng, Z., 5 Dec 2021, 2021 58th ACM/IEEE Design Automation Conference, DAC 2021. p. 727-732 6 p. (Proceedings - Design Automation Conference; vol. 2021-December).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    Open Access
    1 Scopus citations
  • SPADE: A Spectral Method for Black-Box Adversarial Robustness Evaluation

    Cheng, W., Deng, C., Zhao, Z., Cai, Y., Zhang, Z. & Feng, Z., 2021, Proceedings of the 38th International Conference on Machine Learning, ICML 2021. p. 1814-1824 11 p. (Proceedings of Machine Learning Research; vol. 139).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    9 Scopus citations
  • Towards Scalable Spectral Embedding and Data Visualization via Spectral Coarsening

    Zhao, Z., Zhang, Y. & Feng, Z., 3 Aug 2021, WSDM 2021 - Proceedings of the 14th ACM International Conference on Web Search and Data Mining. p. 869-877 9 p. (WSDM 2021 - Proceedings of the 14th ACM International Conference on Web Search and Data Mining).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    9 Scopus citations
  • 2020

    A Spectral Approach to Scalable Vectorless Thermal Integrity Verification

    Zhao, Z. & Feng, Z., Mar 2020, Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020. Di Natale, G., Bolchini, C. & Vatajelu, E.-I. (eds.). p. 412-417 6 p. 9116438. (Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    2 Scopus citations
  • 2019

    Effective-resistance preserving spectral reduction of graphs

    Zhao, Z. & Feng, Z., 2 Jun 2019, Proceedings of the 56th Annual Design Automation Conference 2019, DAC 2019. a109. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    Open Access
    9 Scopus citations
  • Towards scalable spectral sparsification of directed graphs

    Zhang, Y., Zhao, Z. & Feng, Z., Jun 2019, 2019 IEEE International Conference on Embedded Software and Systems, ICESS 2019. 8782449. (2019 IEEE International Conference on Embedded Software and Systems, ICESS 2019).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • 2018

    Similarity-aware spectral sparsification by edge filtering

    Feng, Z., 24 Jun 2018, Proceedings of the 55th Annual Design Automation Conference, DAC 2018. a152. (Proceedings - Design Automation Conference; vol. Part F137710).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    Open Access
    18 Scopus citations
  • 2017

    A Spectral Graph Sparsification Approach to Scalable Vectorless Power Grid Integrity Verification

    Zhao, Z. & Feng, Z., 18 Jun 2017, Proceedings of the 54th Annual Design Automation Conference 2017, DAC 2017. 68. (Proceedings - Design Automation Conference; vol. Part 128280).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    11 Scopus citations
  • SAMG: Sparsified graph-theoretic algebraic multigrid for solving large symmetric diagonally dominant (SDD) matrices

    Zhao, Z., Wang, Y. & Feng, Z., 13 Dec 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2017. p. 601-606 6 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2017-November).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    16 Scopus citations
  • 2016

    Spectral graph sparsification in nearly-linear time leveraging efficient spectral perturbation analysis

    Feng, Z., 5 Jun 2016, Proceedings of the 53rd Annual Design Automation Conference, DAC 2016. a57. (Proceedings - Design Automation Conference; vol. 05-09-June-2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    27 Scopus citations
  • TinySPICE plus: Scaling up statistical SPICE simulations on GPU leveraging shared-memory based sparse matrix solution techniques

    Han, L. & Feng, Z., 7 Nov 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2016. 2967081. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 07-10-November-2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    6 Scopus citations
  • 2015

    An efficient spectral graph sparsification approach to scalable reduction of large flip-chip power grids

    Zhao, X., Feng, Z. & Zhuo, C., 5 Jan 2015, 2014 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2014 - Digest of Technical Papers. January ed. p. 218-223 6 p. 7001355. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2015-January, no. January).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    10 Scopus citations
  • Transient-simulation guided graph sparsification approach to scalable Harmonic Balance (HB) analysis of post-layout RF circuits leveraging heterogeneous CPU-GPU computing systems

    Han, L. & Feng, Z., 24 Jul 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference, DAC 2015. 7167370. (Proceedings - Design Automation Conference; vol. 2015-July).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • 2014

    Graph sparsification approaches to scalable integrated circuit modeling and simulations

    Feng, Z., Zhao, X. & Han, L., 23 Jan 2014, Proceedings - 2014 IEEE 12th International Conference on Solid-State and Integrated Circuit Technology, ICSICT 2014. Zhou, J. & Tang, T.-A. (eds.). 7021195. (Proceedings - 2014 IEEE 12th International Conference on Solid-State and Integrated Circuit Technology, ICSICT 2014).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • 2013

    An efficient graph sparsification approach to scalable harmonic balance (HB) analysis of strongly nonlinear RF circuits

    Han, L., Zhao, X. & Feng, Z., 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2013 - Digest of Technical Papers. p. 494-499 6 p. 6691162. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    7 Scopus citations
  • Large-scale flip-chip power grid reduction with geometric templates

    Feng, Z., 2013, Proceedings - Design, Automation and Test in Europe, DATE 2013. p. 1679-1682 4 p. 6513785. (Proceedings -Design, Automation and Test in Europe, DATE).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    4 Scopus citations
  • Scalable vectorless power grid current integrity verification

    Feng, Z., 2013, Proceedings of the 50th Annual Design Automation Conference, DAC 2013. 86. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • TinySPICE: A parallel SPICE simulator on GPU for massively repeated small circuit simulations

    Han, L., Zhao, X. & Feng, Z., 2013, Proceedings of the 50th Annual Design Automation Conference, DAC 2013. 89. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    17 Scopus citations
  • 2012

    Towards efficient SPICE-accurate nonlinear circuit simulation with on-the-fly support-circuit preconditioners

    Zhao, X. & Feng, Z., 2012, Proceedings of the 49th Annual Design Automation Conference, DAC '12. p. 1119-1124 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    9 Scopus citations
  • 2011

    Efficient checking of power delivery integrity for power gating

    Zeng, Z., Feng, Z. & Li, P., 2011, Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011. p. 663-670 8 p. 5770800. (Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    4 Scopus citations
  • Fast multipole method on GPU: Tackling 3-D capacitance extraction on massively parallel SIMD platforms

    Zhao, X. & Feng, Z., 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference, DAC 2011. p. 558-563 6 p. 5981855. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    7 Scopus citations
  • Fast static analysis of power grids: Algorithms and implementations

    Zeng, Z., Xu, T., Feng, Z. & Li, P., 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011. p. 488-493 6 p. 6105373. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    15 Scopus citations
  • Power grid analysis with hierarchical support graphs

    Zhao, X., Wang, J., Feng, Z. & Hu, S., 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011. p. 543-547 5 p. 6105383. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    23 Scopus citations
  • 2010

    Fast thermal analysis on GPU for 3D-ICs with integrated microchannel cooling

    Feng, Z. & Li, P., 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2010. p. 551-555 5 p. 5653869. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    29 Scopus citations
  • Parallel hierarchical cross entropy optimization for on-chip decap budgeting

    Zhao, X., Guo, Y., Feng, Z. & Hu, S., 2010, Proceedings of the 47th Design Automation Conference, DAC '10. p. 843-848 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    11 Scopus citations
  • Parallel multigrid preconditioning on graphics processing units (GPUs) for robust power grid analysis

    Feng, Z. & Zeng, Z., 2010, Proceedings of the 47th Design Automation Conference, DAC '10. p. 661-666 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    36 Scopus citations
  • Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation

    Zeng, Z., Ye, X., Feng, Z. & Li, P., 2010, Proceedings of the 47th Design Automation Conference, DAC '10. p. 831-836 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    53 Scopus citations
  • 2009

    Parallel partitioning based on-chip power distribution network analysis using locality acceleration

    Zeng, Z., Li, P. & Feng, Z., 2009, Proceedings of the 10th International Symposium on Quality Electronic Design, ISQED 2009. p. 776-781 6 p. 4810391. (Proceedings of the 10th International Symposium on Quality Electronic Design, ISQED 2009).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    10 Scopus citations
  • 2008

    Multigrid on GPU: Tackling power grid analysis on parallel SIMT platforms

    Feng, Z. & Li, P., 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design Digest of Technical Papers, ICCAD 2008. p. 647-654 8 p. 4681645. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    94 Scopus citations
  • 2007

    A framework for accounting for process model uncertainty in statistical static timing analysis

    Guo, Y., Wei, D., Zhuo, F. & Peng, L., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. p. 829-834 6 p. 4261298. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    6 Scopus citations
  • A methodology for timing model characterization for statistical static timing analysis

    Feng, Z. & Li, P., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 725-729 5 p. 4397351. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    3 Scopus citations
  • Efficient VCO phase macromodel generation considering statistical parametric variations

    Dong, W., Feng, Z. & Li, P., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 874-878 5 p. 4397374. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    9 Scopus citations
  • Fast second-order statistical static timing analysis using parameter dimension reduction

    Feng, Z., Li, P. & Zhan, Y., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. p. 244-249 6 p. 4261180. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    Open Access
    34 Scopus citations
  • Reducing the complexity of VLSI performance variation modeling via parameter dimension reduction

    Feng, Z., Yu, G. & Li, P., 2007, Proceedings - Eighth International Symposium on Quality Electronic Design, ISQED 2007. p. 737-742 6 p. 4149122. (Proceedings - Eighth International Symposium on Quality Electronic Design, ISQED 2007).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    4 Scopus citations
  • 2006

    Combinatorial algorithms for fast clock mesh optimization

    Venkataraman, G., Feng, Z., Hu, J. & Li, P., 2006, Proceedings of the 2006 International Conference on Computer-Aided Design, ICCAD. p. 563-567 5 p. 4110232. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    35 Scopus citations
  • Efficient model update for general link-insertion networks

    Feng, Z., Li, P. & Hu, J., 2006, Proceedings - 7th International Symposium on Quality Electronic Design, ISQED 2006. p. 43-50 8 p. 1613112. (Proceedings - International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    5 Scopus citations
  • Performance-oriented statistical parameter reduction of parameterized systems via reduced rank regression

    Feng, Z. & Li, P., 2006, Proceedings of the 2006 International Conference on Computer-Aided Design, ICCAD. p. 868-875 8 p. 4110139. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

    46 Scopus citations
  • 2005

    An efficient technique for optimization of the Concentric Array Radial Line Slot Antenna (CA-RLSA)

    Feng, Z., Li, L. W., Li, E. P. & Yeo, T. S., 2005, 2005 IEEE Antennas and Propagation Society International Symposium and USNC/URSI Meeting, Digest. p. 811-814 4 p. 1551688. (IEEE Antennas and Propagation Society, AP-S International Symposium (Digest); vol. 1 B).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

  • A novel method for computing admittances of radial line slot antennas

    Feng, Z., Li, L. W., Li, E. P. & Yeo, T. S., 2005, 2005 IEEE Antennas and Propagation Society International Symposium and USNC/URSI Meeting, Digest. p. 130-133 4 p. 1552194. (IEEE Antennas and Propagation Society, AP-S International Symposium (Digest); vol. 3 A).

    Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review